Finally block is executed, control is once again transferred to the last statement of the loop immediately following the label declaration.

 
  • 塊執行完之后,才會(huì )將控制權再次轉交給緊跟在標記聲明后面的那個(gè)循環(huán)中的最后一個(gè)語(yǔ)句。
今日熱詞
目錄 附錄 查詞歷史
国内精品美女A∨在线播放xuan